REAL

注释
  • 仅限FP-e和FP0:请勿在中断程序中使用REAL指令。

  • 像“123”这样的整数文字将隐式转换为REAL

数据类型REAL的变量为基于IEEE754的32位数字。尾数为23位,指数为8位。

  1.  (1) 位位置
  2.  (2) 符号位:0正,1负
  3.  (3) 指数(8位)
  4.  (4) 尾数(23位)

REAL值的范围:

-3.402823466*E38-1.175494351*E-38

0.0

+1.175494351*E-38+3.402823466*E38

默认初始值,例如POU头或全局变量列表中的变量声明:

0.0

可以使用以下格式输入REAL值:[+-] 整数.整数 [(Ee) [+-] 整数]

实例

5.983e-7

-33.876e12

3.876e3

0.000123

123.0

检查实数是否有效的用户函数

问题:

浮点指令需要有效的实数。

REAL值的范围:

-3.402823466*E38-1.175494351*E-38

0.0

+1.175494351*E-38+3.402823466*E38

如果实数小于-3.402823466*E38或大于+3.402823466*E38,则将系统寄存器26设置为[停止]时,会设置系统变量sys_bIsOperationErrorHold sys_bIsOperationErrorNonHold

此条件由函数IsValidRealIsValidReal2确认。

如果实数在-1.175494351*E-38+1.175494351*E-38的范围内,则PLC假定其为0.0且不设置错误标志。

注释

某些旧的PLC类型(如FP1FP-M)不支持实数。

IsValidReal示例

在此例中,函数IsValidRealIsValidReal2在梯形图(LD)和指令列表 (IL)中编程。所有编程语言使用相同的POU头。

POU头

在POU头中,声明所有输入和输出变量用于编程此函数。

LD:IsValidReal

LD:IsValidReal2

最后更新日期: 2023-05-16此页面上的反馈松下热线