MOD

模块化算术除法,余数存储在输出变量中

MOD用第一个输入变量的值除以第二个输入变量的值。整数除法的余数(例如5 : 2 = 2,余数= 1)写入输出变量。

参数

输入

未定义的输入 (INT, DINT, UINT, UDINT)

第1个输入:被除数

未定义的输入 (INT, DINT, UINT, UDINT)

第2个输入:除数

输出

未定义的输出 (INT, DINT, UINT, UDINT)

输出作为输入:余数

示例

POU头

所有用于编程此函数的输入和输出变量已在POU头中声明。 所有编程语言使用相同的POU头。

	VAR
		dividend: INT:=11;
		divisor: INT:=4;
		remainder: INT:=0;
	END_VAR

LD本体

此例使用变量。也可以使用常量用于输入变量。被除数(11)除以除数(4)。除法的余数(3)被写入remainder

BODY
    WORKSPACE
        NETWORK_LIST_TYPE := NWTYPELD ;
        ACTIVE_NETWORK := 0 ;
    END_WORKSPACE
    NET_WORK
        NETWORK_TYPE := NWTYPELD ;
        NETWORK_LABEL :=  ;
        NETWORK_TITLE :=  ;
        NETWORK_HEIGHT := 3 ;
        NETWORK_BODY
B(B_F,MOD!,Instance,9,0,14,3,,?D?D?C);
B(B_VARIN,,dividend,7,0,9,2,);
B(B_VARIN,,divisor,7,1,9,3,);
B(B_VAROUT,,remainder,15,0,17,2,);
L(14,1,15,1);
L(1,0,1,3);
        END_NETWORK_BODY
    END_NET_WORK
END_BODY

ST本体

remainder:= dividend MOD divisor;

最后更新日期: 此页面上的反馈松下热线